How to get Free Modelsim License and Simulate your VHDL code

How to get Free Modelsim License

Modelsim is one of the best multi-language HDL simulator developed by Mentor Graphics.

Modelsim is essential for simulation of hardware description languages such as VHDL, Verilog and SystemC. Modelsim includes also a powerful C debugger.

ModelSim can be used independently, or in conjunction with Altera Quartus or Xilinx ISE/Vivado. The HDL simulation can be performed either using the graphical user interface (GUI), or automatically using TCL/TK scripts.

Modelsim runs under FlexLm license and, as you can imagine, a single license is quite expensive for an end user such as a student or hobbyist.

There are two opportunities to get a legal free Modelsim license:

  • If you are a student, you can get a free student edition at Mentor website link
  • From Altera website, downloading Quartus II web edition. Altera provides a free license limited to Altera FPGA. This means you cannot compile technology libraries of ASIC or FPGA such as Xilinx, Microsemi but you can compile and simulate you own RTL code.

Leave a Reply

Your email address will not be published. Required fields are marked *