Comments on: How to Measure Pulse Duration Using VHDL https://surf-vhdl.com/measure-pulse-duration-using-vhdl/ The Easiest Way To Learn VHDL Fri, 24 Dec 2021 06:47:54 +0000 hourly 1 https://wordpress.org/?v=6.5.2 By: Eshwarchand https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-24411 Fri, 24 Dec 2021 06:47:54 +0000 http://surf-vhdl.com/?p=1142#comment-24411 Sir,
Could you please give the logic in verilog..

]]>
By: Surf-VHDL https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-17996 Fri, 09 Jul 2021 21:29:19 +0000 http://surf-vhdl.com/?p=1142#comment-17996 In reply to sinsad.

p_input is a pipeline, a shift register is used to delay the input signal “i_input”.
It is a simple trick the generate the delayed version of the signal, in this case 3 registers (0 to 2) and derive the rising and falling edge

]]>
By: sinsad https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-16773 Wed, 19 May 2021 21:07:18 +0000 http://surf-vhdl.com/?p=1142#comment-16773 It was really great … although I still do not understand what you mean by p_input! What does it do in the circuit? Why did you define it as 3 bits? What happened in lines 35, 36 and 37 ?! Thank you for your reply

]]>
By: Surf-VHDL https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10849 Fri, 27 Dec 2019 17:20:20 +0000 http://surf-vhdl.com/?p=1142#comment-10849 In reply to Sumukh.

If you don’t need to synthesise the code, you can use VHDL like a programming language.
In this case you can find a lot of algorithm in literature

]]>
By: Sumukh https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10712 Thu, 24 Oct 2019 14:17:24 +0000 http://surf-vhdl.com/?p=1142#comment-10712 Hello, my question is similar but a very different case! In my application, I need to measure the frequency and amplitude of an incoming sinusoidal signal (analog). And this has to be done in VHDL (not synthesisable, because this isn’t on FPGA but outside). Is it possible to do? If yes, then please could you help me with this?
Thanks in advance.

]]>
By: Surf-VHDL https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10574 Fri, 22 Mar 2019 21:50:51 +0000 http://surf-vhdl.com/?p=1142#comment-10574 In reply to wafae.

what is your problem?

]]>
By: wafae https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10573 Fri, 22 Mar 2019 15:08:21 +0000 http://surf-vhdl.com/?p=1142#comment-10573 I try to use it for nexys 3 but nothing appears in the ISIM window everything is in U

]]>
By: Surf-VHDL https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10530 Thu, 24 Jan 2019 21:04:21 +0000 http://surf-vhdl.com/?p=1142#comment-10530 In reply to mira.

what is the problem?

]]>
By: mira https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10527 Wed, 23 Jan 2019 10:44:11 +0000 http://surf-vhdl.com/?p=1142#comment-10527 i tried thise code inside a nexys 4 but it does n t work

]]>
By: Surf-VHDL https://surf-vhdl.com/measure-pulse-duration-using-vhdl/#comment-10522 Tue, 22 Jan 2019 18:06:11 +0000 http://surf-vhdl.com/?p=1142#comment-10522 In reply to mb.

what goes wrong?

]]>