Comments on: Read from File in VHDL using TextIO Library https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/ The Easiest Way To Learn VHDL Sat, 18 Nov 2023 10:09:45 +0000 hourly 1 https://wordpress.org/?v=6.5.2 By: Surf-VHDL https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-35893 Sat, 18 Nov 2023 10:09:45 +0000 http://surf-vhdl.com/?p=820#comment-35893 In reply to francis cagney.

Hi Francis,
the statement in the example should help to read from file and feed your synchronous design.
Of course, you can use different strategies. For instance use the “wait” statement into a process.
Regarding the formatting, VHDL is not so “smart” 🙂

]]>
By: francis cagney https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-35408 Sat, 12 Aug 2023 12:49:21 +0000 http://surf-vhdl.com/?p=820#comment-35408 You don’t actually need for it to be clocked. You can have a sequential process in the simulator with while loops and ifs. Unless you have an FPGA with a filing system the code above is only for the simulator.

Is there something like stdio in VHDL so you could report constants nicely formatted?
or use

variable buf: line;
lprintf(buf, “hello %d”, 10);
write(output, buf)

]]>
By: shyam https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-27023 Mon, 18 Apr 2022 05:25:27 +0000 http://surf-vhdl.com/?p=820#comment-27023 i have to read and write large data its a type of matrix 64 *80 how i can do this please let me know?

]]>
By: pravallika https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-25974 Mon, 07 Mar 2022 04:39:13 +0000 http://surf-vhdl.com/?p=820#comment-25974 Its not reading for me and providing an error ‘ramtype’ already imposes an index constraint

]]>
By: Surf-VHDL https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-11495 Sat, 29 Feb 2020 15:31:37 +0000 http://surf-vhdl.com/?p=820#comment-11495 In reply to mule.

The function READ read only the number

]]>
By: mule https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-11445 Wed, 26 Feb 2020 22:16:21 +0000 http://surf-vhdl.com/?p=820#comment-11445 Hi , Nice note. One question, if you have an array to read in one row and multiple columns once, like reading all the elements in just one clock cycle what do we do? another question, what about the space between the taps how do we ignore that? thank you.

]]>
By: Surf-VHDL https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-10846 Fri, 27 Dec 2019 17:17:21 +0000 http://surf-vhdl.com/?p=820#comment-10846 In reply to terminator.

you are welcome!

]]>
By: terminator https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-10717 Thu, 31 Oct 2019 10:44:12 +0000 http://surf-vhdl.com/?p=820#comment-10717 Thank you very much sir

]]>
By: Surf-VHDL https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-1851 Tue, 04 Jul 2017 17:42:17 +0000 http://surf-vhdl.com/?p=820#comment-1851 In reply to Hajer.

You are welcome!

]]>
By: Hajer https://surf-vhdl.com/read-from-file-in-vhdl-using-textio-library/#comment-1846 Sun, 02 Jul 2017 21:50:23 +0000 http://surf-vhdl.com/?p=820#comment-1846 Thanks , so much ^_^

]]>