Comments on: How to Connect a Serial ADC to an FPGA https://surf-vhdl.com/how-to-connect-serial-adc-fpga/ The Easiest Way To Learn VHDL Sat, 04 Nov 2023 21:12:38 +0000 hourly 1 https://wordpress.org/?v=6.5.2 By: Mathieu Winger https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-35820 Sat, 04 Nov 2023 21:12:38 +0000 http://surf-vhdl.com/?p=1077#comment-35820 Hello !
I am looking for some code that uses the ADC from the DE0-Nano board and that reads data from the 8 analog input channels by multiplexing. The langage could be Verilog or VHDL or even C code.
Any idea ?
Thanks in advance…
Mathieu Winger

]]>
By: Romain https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34753 Thu, 11 May 2023 20:12:46 +0000 http://surf-vhdl.com/?p=1077#comment-34753 I apologize, I just don’t take time for reading correclty the datasheet of ADC to see that the channel data on DIN is for the next frame of conversion.

]]>
By: Romain https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34752 Thu, 11 May 2023 19:44:12 +0000 http://surf-vhdl.com/?p=1077#comment-34752 Hello !
In first I want to thanks you a lot for your work and your help.

I analyse your code and simulate it, but there is just a little problem, the first conversion doesn’t take in account the channel specified.

The problem is that you output the channel in the end of conversion, so the first one is negliged….

Do you have any idea to change simply the code without have more signals ?

Anyway, thanks again for everything ! 🙂

]]>
By: Uzokboy https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34736 Wed, 10 May 2023 23:41:39 +0000 http://surf-vhdl.com/?p=1077#comment-34736 Hello,
thank you so much for your effort to explain all.
Could you please send me the TB?

]]>
By: Vanmathi https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34472 Wed, 05 Apr 2023 02:33:54 +0000 http://surf-vhdl.com/?p=1077#comment-34472 I need testbench code can u send me.

]]>
By: Ariff Rosman https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34356 Thu, 09 Mar 2023 03:20:51 +0000 http://surf-vhdl.com/?p=1077#comment-34356 I am a bit confuse where you route the adc output to leds?

]]>
By: Ariff Rosman https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34355 Thu, 09 Mar 2023 03:00:34 +0000 http://surf-vhdl.com/?p=1077#comment-34355 Thank you so much or this sharing. It helps a lot in order for me to understand more about spi. Apart from that, can I have the .xdc file or .ucf file for this project? You can email me at m.ariffrosman@gmail.com. Thank you so much

]]>
By: hamada https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-34226 Thu, 23 Feb 2023 14:18:45 +0000 http://surf-vhdl.com/?p=1077#comment-34226 Hello,
First of all, thank you so much for this work.
I would like if you could send me the test bench?

and thank u

]]>
By: Aysu https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-27587 Sun, 15 May 2022 17:21:30 +0000 http://surf-vhdl.com/?p=1077#comment-27587 First of all, thank you so much for this lesson
I would like if you could send me the test bench?
Here is my email: bolshoi.booze1999@gmail.com

Thank you

]]>
By: Stephen https://surf-vhdl.com/how-to-connect-serial-adc-fpga/#comment-23820 Sat, 04 Dec 2021 03:01:15 +0000 http://surf-vhdl.com/?p=1077#comment-23820 Hello, thanks for this lesson. Very informative. Could you please send me the test bench?
My email is onistephen1@gmail.com
Thank you

]]>